2016년 3월 26일 토요일

[소스,회로도] AVR 알람시계 만들기






본 문서의 결과 동영상 중 하나입니다.


실험에 대한 보고서, 회로도, 소스코드

,결과사진과 동영상이 압축파일로 

제공되는 레포트입니다. 



보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 

대하여 알아보도록 한다. 

본 디지털 알람시계는 00:00:00 ~ 23:59:59 의 시간범위로 운용되며 

AVR의 타이머/카운터 기능을 베이스로 ‘1초’를 카운팅하여 시간을 구성한다. 

그리고 2자리 세그먼트 3개로 각 시:분:초의 시간데이터를 시각적으로 출력한다. 

기능은 크게 알람설정기능시간설정기능, 알람데이터 EEPROM 저장기능

 그리고 부저기능을 구현한다. 





<<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작

댓글 없음:

댓글 쓰기